Do we need a few new types?

Achim Gratz Stromeko at nexgo.de
Sat Mar 11 08:01:51 UTC 2017


Gary E. Miller writes:
> Or, just go with the flow and use the epoch in a timepec like
> structure:
>
> ntp_time_t {
> 	int epoch;
> 	uint32 seconds;
>         uint32 faction;
> }
>
> That would stop all that shifting back and forth.

Oh please, no.  Consider using a 128bit integer type when the time comes
around, but not such an abomination.  Specifically not that useless
mucking about with integral and fractional seconds.  You report the
time in integral units of 2^-32 seconds, period.


Regards,
Achim.
-- 
+<[Q+ Matrix-12 WAVE#46+305 Neuron microQkb Andromeda XTk Blofeld]>+

SD adaptations for KORG EX-800 and Poly-800MkII V0.9:
http://Synth.Stromeko.net/Downloads.html#KorgSDada



More information about the devel mailing list